As the "next-generation" lithography (NGL) system, is extreme ultraviolet lithography (EUVL) more promising than electron beam projection lithography (EPL)

views updated

ENGINEERING

As the "next-generation" lithography (NGL) system, is extreme ultraviolet lithography (EUVL) more promising than electron beam projection lithography (EPL)?

Viewpoint: Yes, EUVL is more promising than EPL.

Viewpoint: No, EUVL is not necessarily more promising than EPL as the NGL system. Although a replacement for optical lithography must be developed, the nature of that replacement remains to be determined.

Long ago, the word lithography referred to a printing process, developed at the end of the eighteenth century, that made use of grease and water. Lithography is still used today for printing, but in the present context, the term refers to the application of lithography in etching the patterns for integrated circuits and transistors on computer microchips.

Essential to the operation of modern computers, chips replaced vacuum tubes during the 1960s, greatly expanding the power of computing machines. The typical computer chip—often called a microchip—is made of the element silicon, found in sand and glass, and contains integrated circuits. The latter either carry out instructions within a computer program, in which case the chip serves as a microprocessor, or, in the case of a memory chip, store data and the programs themselves. Transistors control the flow of electric current. The more transistors, and the more lines of electronic circuitry that can be etched onto the chip, the greater its computing power; hence the critical role of lithography in developing ever more powerful chips.

Near the end of the twentieth century, optical techniques, using ultraviolet light, represented the state of the art in computer-chip lithography. In the optical process, light in the ultraviolet spectrum—the wavelengths of which are shorter and higher in frequency than those of visible light—is focused through a mask, a transparent sheet with a pattern of opaque material corresponding to the finished circuit. The opaque material provided a template whereby the pattern could be etched in a silicon wafer to make a chip.

Optical lithography is capable or operating on a wavelength no smaller than 157 nanometers (nm), a nanometer being one-billionth of a meter or one-millionth of a millimeter. While this level of advancement fit the needs of the computer industry for much of the 1980s, by the 1990s it became apparent that optical lithography could not meet ever-increasing demands for chips with greater capacity.

The need to move beyond the bounds of optical lithography is driven in part by Moore's law. The latter is named after Gordon E. Moore (1929-), American engineer and cofounder of the Intel Corporation with Robert Noyce, coinventor of the integrated circuit. In a 1965 article for the journal Electronics, Moore stated that, based on developments to that point, the number of transistors on chips tended to double each year. This formulation became known as Moore's law, and though Moore later revised his figure to two years, subsequent developments in the computer industry led to the adoption of eighteenth months as the figure for the amount of time required for the number of transistors to double. In the last two decades of the twentieth century, the industry as a whole adopted the eighteen-month version of Moore's law as an informal and voluntary standard—a goal that its members have continued to meet through the beginning of the twenty-first century.

As a result of this standard, companies began to search for ways to move beyond optical lithography, and the search was on for a next-generation lithography (NVL). Two new methods presented themselves: extreme ultraviolet lithography, known as EUV or EUVL, and electron beam or electronic beam projection lithography, abbreviated as either EBL or EPL. Each offers its advantages and challenges.

Extreme ultraviolet radiation operates at approximately 13 nm, making it capable of printing transistor elements as narrow as the width of 40 atoms. Due to the extremely small wavelengths, use of EUVL requires technology far beyond the scope of that used with the old optical method. Because these ultra-fine wavelengths would be absorbed by optical lens materials, it is necessary to use reflective surfaces rather than lenses, and to coat these surfaces with some 80 alternating layers of silicon and molybdenum. By the beginning of the twenty-first century, the technology was in place to etch circuits as small as 70 nm using EUVL, and an experimental design was projected to create etchings less than half as narrow.

Impressive as EUVL is, it has its detractors, particularly among supporters of electron beam projection lithography. These supporters offered claims that their technology could match that of EUVL, producing resolutions below 35 nm. Furthermore, EPL had the advantage of using existing optical technology. Yet, as with EUVL, there are dangers of mask distortion due to heating, and EPL requires its own special coatings, in this case of tungsten.

By the end of the 1990s, the race was on for the development of NGL, and guiding the quest was International Sematech. The latter is an outgrowth of Sematech (se miconductor ma nufacturing tech nology), a consortium of 14 U.S. computer companies formed with the cooperation of the federal government in 1987 to reinvigorate an American semiconductor manufacturing industry then lagging behind foreign competitors. International Sematech has placed its support primarily behind EUVL, but some of its members, most notably Lucent Technologies and the Nikon Corporation, favor EPL.

As the twenty-first century dawned, corporate members of the computer industry could look ahead to a number of exciting new developments on the horizon, yet the capability of computers can ultimately be no greater than the power of the microprocessors that run them. Realization of this fact has spurred what some have called "the moon shot," the quest to develop NGL. In this effort, companies that normally compete with one another are working together, and though some entities have vested interests in EUVL or EPL, in general, the industry is concerned only with finding the technology that works best.

Indeed, there have been indications that the industry as a whole would be prepared to support both technologies, at least until one or the other emerges as the clear leader. Partisans in the EUVL-EPL debate—particularly supporters of EUVL—maintain that their side has already won; however, the pattern of the future is still not etched.

—JUDSON KNIGHT

Viewpoint: Yes, EUVL is more promising than EPL.

According to a consortium developing EUVL, microchips made with this technology could provide 10-gigahertz (GHz) processors. The speed of the fastest Pentium 4 processor on the market in 2002 is 1.5 GHz. To put it another way, EUVL NGL could lead to microprocessors that are 30 times faster than and have 1,000-fold greater computer memory than current processors. The EUVL technology is being backed by most of the "big guns" of the microelectronics industry, including the world's undisputed number one chip maker, Intel.

In December 1998, at a meeting attended by approximately 110 International Sematech representatives, EUVL was voted the "most likely to succeed" technology to produce the NGL for commercial microchip production. An electron-beam process, called SCALPEL and developed by Lucent, came in second. The Semiconductor Industry Association (SIA) also backs EUVL for NGL.

The 1998 vote of confidence was realized in April 2001 when Sandia National Laboratories/California hosted an EUVL milestone celebration for the launching of a prototype, called the engineering test stand (ETS). The ETS has six subsystems: a laser-produced plasma EUV source, condenser optics, projection optics, a mask, precision scanning stages, and a vacuum enclosure for the entire system.

Sandia is a multiprogram Department of Energy laboratory. It is operated as a subsidiary of Lockheed Martin corporation with main facilities in Albuquerque, New Mexico, and Livermore, California. The SIA is a trade association representing the U.S. microchip industry. More than 90% of United States-based semiconductor production is performed by SIA member companies.

International Sematech (se miconductor ma nufacturing tech nology) is a research consortium created from the globalization of Sematech, which was formed originally to reinvigo-rate the U.S. semiconductor industry. Member companies share expenses and risk in the precompetitive development of advanced manufacturing technologies, such as EUVL, for tomorrow's semiconductors.

Drivers of the Technology

Gordon Moore, Ph.D., cofounder of Intel and author of Moore's law, and other Intel leaders believe the NGL system will be EUVL. They have backed their belief with major investment in an industry-government consortium that includes three U.S. Department of Energy national laboratories, Motorola, Advanced Micro Devices, Micron Technology, Infineon Technologies, and IBM.

Moore's law states that the amount of information stored on a microchip approximately doubles every year. Moore observed in 1965 that a plot of the number of transistors per square inch on an integrated circuit versus time showed the number of transistors doubling approximately every 18 to 24 months. The number of transistors that can be fabricated on a silicon circuit determines the computing speed of the circuit. The semiconductor industry took Moore's law as almost a mandate to maintain the pace. Moore explains that in the world of microchips, if things are made smaller, everything improves simultaneously. Performance and reliability increase, and the cost of an individual transistor decreases dramatically when more is packed in a given area on the chip. A problem is that optical lithography, the method used to produce the chips, has its limits.

Moore's law is the "engine" driving the microelectronics industry, and lithography is the technological driver of Moore's law. The capability of creating finer and finer features to fit more transistors on a chip depends on the capabilities of lithography. The present optical lithography is based on making an image by directing light on a mask, which is much like a stencil of the integrated circuit pattern. The image of the pattern is projected onto a semiconductor wafer covered with light-sensitive photoresist. Lenses are used to reduce and focus the image. Smaller features require shorter wavelengths. Semiconductor manufacturers can print line widths of approximately one-half of the smallest wavelength they can use. Optical lithography is expected to end at a practical limit of a wavelength of 157 nanometers (nm). Wavelengths in the EUV range, 5-25 nm, may some day be able to print a transistor element only 40 atoms wide. Wavelengths that short cannot be focused with lenses because they are absorbed by them, so all-new technology is needed.

Intel has assumed the position of leader in driving new technology to adhere to Moore's law. In 1996, Intel began funding EUVL research and in 1997 established the consortium known as EUV LLC (Extreme Ultraviolet Limited Liability Company) with Motorola and Advanced Micro Devices. EUV LLC then joined with the Virtual National Laboratory, a partnership of Sandia, Lawrence Livermore, and Lawrence Berkeley, the three national Department of Energy laboratories. A quarter-billion-dollar pledge of private capital got the program under way. The investment return could be considerable. Worldwide semiconductor revenues may reach $1 trillion per year by 2012. U.S. industries are projected to have a 50% market share, or more.

The progress of EUV LLC attracted more companies to the consortium. Micron Technology and Infineon Technologies joined the team in early 2000. IBM joined in March 2001, when the company recognized EUVL as moving along rapidly in the race for the NGL. However, IBM had been doing and was continuing research on EPL.

Intel and IBM have different research and development strategies. Rather than relying on internal deep research, Intel invests in collaborations with universities and national laboratories to tap the best of the best in basic research and development resources. Intel has research laboratories, but it focuses on new processes that can be transferred into production. Intel's founders saw in earlier corporate experience too much money being spent on research that did not produce a corporate return. By backing the Virtual National Laboratory, the Intel consortium had a jump-start on EUVL research that has put it in front of the competition for the NGL.

Extreme Ultraviolet Lithography Technology

Basic research has been done at the three national laboratories. Each lab is making a unique contribution to the EUVL technology.

Lawrence Livermore supplies expertise in optics design, precision engineering, multiple-layer coatings, and projection optics engineering. Sandia provides system engineering, development of the photoactive polymer thin film for photoresist, and light source development. Lawrence Berkeley contributes advanced light source capability for generation of EUV light, conducts defect inspection analysis, and performs EUV scattering experiments.

EUVL technology is built on experience gained from optical lithography, but it requires an entirely new technology. The short wavelengths are not transmitted through optical lens materials; rather they are absorbed. Therefore, instead of lenses, the reduction systems must use reflective surfaces, that is, mirrors, which have special coatings made up of 81 alternating layers of silicon and molybdenum. They were developed at Lawrence Livermore.

EUV radiation is strongly absorbed by most mask materials, so a highly reflective mask that contains the integrated circuit pattern is built into the system. The EUVL mask is produced by applying the same multiple-layer coating of molybdenum and silicon used on the mirrors to a flat, very-high-purity glass substrate. To that, a final EUV-absorbing metal layer is applied, and then the image of the circuit is etched away. The radiation reflected from this pattern mask enters an all-reflective camera containing four mirrors to reduce the image size and focus the image onto the wafer. With this setup, the ETS can print features as small as 70 nm. A six-mirror design for printing features down to 30 nm is in development. The entire lithographic process has to be performed in a vacuum because air absorbs radiation at this wavelength.

The Sandia laboratory developed the laser-plasma source for generating EUV radiation. The laser-plasma source is a commercial 6 kilohertz, 1,500-watt laser that focuses 5-nanosecond pulses of light onto a beam of xenon gas. As described in Sandia literature, the gas consists of weakly bound xenon clusters that contain many thousands of atoms each. The clusters are heated and vaporized. As the clusters absorb the laser energy, a jet of xenon gas is produced as a plasma that reradiates some of the energy at a 13-nm wavelength. The system includes a condenser optics box and a projection optics box. The main role of the condenser optic box is to bring as much light as possible to the mask and ultimately to the wafer. The more light delivered, the shorter is the exposure time, which translates to manufacturing more chips at a faster rate.

An issue during development of EUVL technology was the question of who owns the intellectual property. Inventions from a number of the labs were patented. When the work is entirely funded by the industrial consortium, the consortium usually owns the inventions. If the technology had to be licensed for use, EUVL would be prohibitively expensive.

The Challenges

The challenges are many in the development of EUVL. As described in the September 2001 issue of the magazine Research & Development, the scope is so wide and the challenges are so great that this project has been called the semiconductor industry's "moon shot" of technology. The rewards are so high for developing the NGL that a number of countries are beginning to conduct research. In addition to the United States, Japan and France are among those developing EUVL. The Dutch tool supplier ASML dropped its involvement with EPL and may be the first non-U.S. company to join in the EUVL consortium.

Manufacturing the almost perfect mirrors needed for EUVL has been a challenge. In addition to being highly reflective, the mirrors must have uniform surface coatings. Any small lack of uniformity in the coatings would result in distorted patterns on the microchips. The Lawrence Livermore and Lawrence Berkeley labs have developed advanced molybdenum and silicon multiple-layer coatings that can reflect nearly 70% of EUV light at a wavelength of 13.4 nm. Each of the 81 layers is approximately 3.5 nm thick. These laboratories also developed an award-winning precision deposition system for applying the thin films to the curved mirrors needed for EUVL—the ultra clean ion beam sputter deposition system. The total thickness over the area coated has a surface deviation of less than one atom.

According to industry experts, the most complex challenges and greatest risks involve the mask for the NGL. Any defects would be replicated on the chips, damaging the complex circuitry. The national laboratories, using the ultra clean ion beam sputter deposition system, produce uniform, highly reflective masks with fewer defects than those produced with conventional physical deposition processes. The system consistently produces fewer than 0.1 defects per square centimeter. The goal is no more than 0.001 defects per square centimeter on the finished wafer blank.

The ETS represents a major milestone in that it has demonstrated the EUVL technology can work. Quality full-field images have been printed at approximately 100 nm, but at 80 nm the quality is not as good. There are still challenges in that the system is extremely complex and requires precision at the nanometer level. Another challenge is the power source. The program director of EUV LLC estimates the power source has to be 10 times more powerful than it is now to achieve the target throughput rate of 80 300-mm wafers per hour needed for cost-effectiveness.

The International Technology Roadmap for Semiconductors (ITRS) projects EUVL and/or EPL should be printing lines at approximately 50 nm by 2011 and 25 nm by 2014. Which technology will be the leader? Three national U.S. Department of Energy labs and the major chip makers are all betting on EUVL. Having Intel as driver of the EUVL technology helps. Peter J. Silverman, Intel's director of lithography capital equipment development, is quoted in the April 2001 Scientific American : "We fervently believe that there are not enough resources in the industry to develop both technologies."

ITRS is an assessment of the semiconductor technology requirements to ensure advancements in the performance of integrated circuits. The roadmap is produced by a cooperative effort of global manufacturers, government organizations, consortia, and universities to identify the technological challenges and needs facing the semiconductor industry over the next 15 years. It is sponsored by the SIA, the European Electronic Component Manufacturers Association, the Electronic Industries Association of Japan, and both the Korean and Taiwan Semiconductor Industry Associations.

—M. C. NAGEL

Viewpoint: No, EUVL is not necessarily more promising than EPL as the NGL system. Although a replacement for optical lithography must be developed, the nature of that replacement remains to be determined.

Today's optical lithography is outmoded and must go. That much, at least, is a point of agreement for all sides in the race to develop the NGL system. But which system? Among the candidates, EUVL has a number of supporters, including the SIA and International Sematech. But with test results that go back to the 1990s, many experts remain skeptical about the capabilities of EUVL. At the same time, a number of influential forces, including researchers at Bell Labs of Lucent Technologies and at Nikon Corporation, support a different form of NGL technology: EPL.

Whereas the term lithography might call to mind images of printers reproducing scenes of country life, this image belongs to another century. Twenty-first-century lithography is intimately tied to the computer industry, in which it is used to produce patterns on semiconductor crystals to be used as integrated circuits. Just as a book containing more lines and characters of text necessarily contains more information, the more patterns that can be written on the crystals, the more information they can process.

The present system of optical lithography uses light from deep within the ultraviolet spectrum, light with slightly shorter wavelength and higher frequency than ordinary visible light. These waves are focused through a mask, a transparent sheet containing a pattern of opaque material. The pattern of the opaque portion corresponds to that of the finished circuit, which is etched out of a silicon wafer.

As the semiconductor industry has progressed, demand has increased for ever more powerful semiconductors—that is, semiconductors containing more transistors—and this is where optical lithography has reached its limits. Because of the phenomenon of diffraction, or the bending of light waves as they pass around obstacles, patterns become blurred at very small sizes. As the sizes of patterns have shrunk to dimensions only slightly larger than the wavelengths of the light itself, the problem has become ever more serious.

Extreme Ultraviolet Lithography: High Hopes

As the need for the NGL system became painfully apparent, manufacturers of semiconductors began the search for a system that would replace the outmoded optical variety. Beginning in the early 1990s, research and development laboratories began investigating the capabilities of EUVL, which uses a shorter wavelength than does optical lithography. Whereas optical lithography, in its most advanced forms, operates on a wavelength of 157 nm, the wavelength of EUV is less than a tenth of that, only 13 nm. (A nanometer is one billionth of a meter, or one millionth of a millimeter, meaning that there are 25,400,000 nanometers to an inch.)

Hopes for the future of EUVL have been high. These high hopes are reflected not only in the formation of EUV LLC, a consortium of companies supporting development of the technology, but also in the EUV LLC budget of $250 million. Experts in the semiconductor industry call the effort to develop EUVL the "moon shot," reflecting the challenges, expenses, and opportunities involved. Just as America's effort to reach the Moon in the 1960s was closely tied with defense interests, defense technology used in making precision mirrors has been adapted in the manufacture of circuits.

Whereas optical lithography is incapable of producing patterns smaller than 130 nm, supporters of EUVL in 2001 maintained that by 2005 it could operate in the 70-nm "node." EUV LLC program director Chuck Gwyn of Intel told Research & Development, "EUVL will be the production technology of the future." In the same article, Art Zafiropoulo, chief executive officer of Ultratech Stepper, says, "There is no question in my mind that this is the correct solution to producing devices that have geometries of 0.05 microns [50 nm] and lower. This is the only technology that will be successful." Zafiropoulo went on to observe, "Years ago, we didn't think we could put somebody on the Moon. It will take those kinds of resources and effort and brain power to do it. I would not rule out the ingenuity of American scientists or American companies. I think it's huge, but I love it."

Questions and Concerns

Are all these high hopes warranted? The evidence suggests not, for three reasons. First, it is still too early to tell what technology will emerge as the dominant NGL. Second, the EPL method is at least as promising a candidate as EUVL for that dominant position. And third, EUVL has raised a number of concerns.

In the words of Skip Derra in Research & Development, "to many … the EUV 'alpha tool' is a big, complex system. Proving it can lay down one layer of a circuit pattern in a laboratory test is far removed from the intricacies and tradeoffs that rule real-world manufacturing environments." Henry Smith, professor of electrical engineering at the Massachusetts Institute of Technology, told Derra, "There are many people who are skeptical about EUV's viability in manufacturing."

Even Zafiropoulo, an effusive supporter of EUVL, indicated that the EUV LLC goal of producing ten beta or test machines by late 2003 or early 2004 was an ambitious one. He suggested this goal could not be met until 2007. There is also the matter of the expense: another EUV supporter, Juri Matisoo of the SIA, admitted to Derra that "EUV doesn't come free."

Of course, any technology costs money. That the EUV LLC goals may be a bit overly ambitious is not necessarily a sign of problems with EUVL itself. However, there are more serious concerns. For example, EUVL requires a power source with 10 times the capability of that available in 2001. In addition, detractors noted the complexity of EUVL as well as the fact that it requires extraordinary precision in operation. There is the matter of its high cost relative to other forms of technology. Dale Ibbotson of Agere Systems (a corporate spin-off of Lucent) told Derra he had found a much more cost-effective alternative to EUVL, that is, EPL.

Electron Beam Projection Lithography: A Strong Contender

According to the 1998 Guinness Book of World Records, the world's smallest wire at that time was a 3-nm piece of nickel and chromium—so small that 8 million of them, laid side by side, would be an inch wide. The wire was made with EPL, a promising NGL being promoted by a number of industry leaders. Among these is Lucent's Bell Labs, which in 1994 undertook efforts toward development of scattering with angular limitation projection electron beam lithography (SCALPEL).

Past attempts at using EPL had distorted the mask with heat, and the distortion prevented development of EPL as a practical means of chip production. SCALPEL, however, uses a mask pattern of tungsten, which because of its high atomic mass scatters electrons and causes little heat absorption. Errors are a serious problem in EUVL, which uses up to 80 coatings on a chip. Each coating must be perfect down to the atomic scale. By contrast, SCALPEL uses an electrostatic image deflector, which corrects errors in positioning of the projected image. SCALPEL also uses an annular aperture to protect against background exposure due to electron backscatter, or the rebounding of electrons from the resist. (A resist is a coating that protects against physical, electrical, or chemical action.)

SCALPEL offers the benefit of cost savings, because it uses much of the same equipment and many of the processes already used in optical lithography. As Lloyd R. Harriott, director of advanced lithography research at Bell Labs, told Signal, "When people have thought about moving to a new lithographic technology, they have usually thought about changing to a whole new infrastructure. But with SCALPEL, the resist mechanism is very similar to what is used in optical [lithography], and in fact uses the same materials…. Changeover will involve no huge disruption."

In February 2001, Nikon Research Corporation of America announced that its parent company, Nikon Corporation of Japan, had stepped up an EPL development program begun in conjunction with IBM in 1995. Said Nikon Corporation president Shoichiro Yoshida in a company press release, "We believe that EPL will provide our customers the capability to extend to sub-35-nm resolution." Ibbotson, quoted in the same document as a fellow supporter of EPL, stated "… we expect EPL to be especially suited to communications semiconductors, where the technology's speed, flexibility, and low-cost masks are key attributes."

The Future Is Unwritten

Toward the end of the February 2001 Nikon press release is a statement that reveals something about the true nature of the EUVL-EPL debate. It is a quote from Mark Meilliar-Smith, president and chief executive officer of International Sematech, a consortium of 12 semiconductor manufacturers, among them both Agere and IBM, from seven countries. The ostensible purpose of the consortium is to advance semiconductor manufacturing technology with an eye toward what is best for the industry as a whole rather than one company or faction. Said Meilliar-Smith, "International Sematech has supported next-generation lithography for several years as an important part of our member companies' technology plans. Our present programs cover both EUV and EPL " [emphasis added].

In a similar vein, Aaron Hand of Semiconductor International reported after the fifth and final International Sematech NGL Workshop in August 2001, "The industry basically confirmed what had been supposed for some time—that it will proceed with both extreme ultraviolet lithography (EUVL) and electron-beam projection lithography (EPL)." Hand went on to note, "It was originally thought that the industry was going to have to settle on one NGL technology because it could not afford to support more than that. But the tune has changed along with the growing intensity of sticking with Moore's law," which calls for the doubling of the power in computer chips every 18 months. Paraphrasing John Canning of International Sematech, Hand noted, "Now the industry can't afford not to pursue two technologies."

In the annals of scientific discovery, then, the debate between EUVL and EPL is not likely to be remembered as a conflict between good and bad technology or between better and worse science. It is not like the distinction between the ancient atomic theory of matter, which was essentially correct, and the theory that matter is made up of four "elements," which was wholly incorrect. This is more like the conflict between supporters of the wave and particle theories of light in the period between the late-seventeenth and early-twentieth centuries, when it was discovered that light behaves both as a wave and as a particle. "All NGL methods have significant hurdles," Phil Ware of Canon USA, told Semiconductor International. "[Y]ou will find most of the requirements for the years beyond 2005 are listed in red boxes. This means that there is no known solution at the moment. Invention is required to meet these requirements."

—JUDSON KNIGHT

Further Reading

"Cooperation Helps to Advance Scalpel." Semiconductor International 22, no. 3 (March 1999): 24-6.

Dance, Brian. "Putting EUV Optics to the Test." Semiconductor International 24, no. 8 (July 2001): 62.

Derra, Skip. "Can Lithography Go to the Extreme?" Research & Development 43, no. 7 (July 2001): 12. <http://www.rdmag.com/features/0107euvl.asp>

"Fight Is on for Top Process." Electronic Times (November 12, 2001): 3.

Hand, Aaron. "Commercializing NGL: The Push Forward." Semiconductor International 24, no. 14 (December 2001): 59-64.

Heller, Arnie. "Extreme Ultraviolet Lithography: Imaging the Future." Science and Technology Review (November 1999).

Jackson, Tim. Inside Intel: Andy Grove and the Rise of the World's Most Powerful Chip Company. New York: The Penguin Group (Dutton/Plume), 1998.

"Nikon Accelerates Electron Projection Lithography (EPL) Development to Meet Customer Demand at 70 nm." Nikon. February 28, 2001 [cited May 28, 2002]. <http://www.nikon.co.jp/main/eng/news/2001/epl01_e_01.htm>.

"Projection Electron Beam Lithography Breakthrough Achieved by Bell Laboratories Researchers." Lucent Technologies. November 5, 1997 [cited May 28, 2002]. <http://www.lucent.com/press/1197/971105.blb.html>.

Reed, Fred V. "Smaller, Faster Circuit Elements Bypass Previous Expectations." Signal 53, no. 1 (September 1998): 79-82.

Reid, T. R. The Chip: How Two Americans Invented the Microchip and Launched a Revolution. New York: Random House, 2001.

Stix, Gary. "Getting More from Moore's." Scientific American, April 2001.

Van Zant, Peter. Microchip Fabrication: A Practical Guide to Semiconductor Processing. New York: McGraw Hill, 2000.

Xiang, Hong. Introduction to Semiconductor Manufacturing Technology. Upper Saddle River, NJ: Prentice Hall, 2000.

KEY TERMS

CHIP:

Short for microchip, the very small silicon (semi-conductor) modules that provide logic circuitry or memory for microprocessors. Transistors, the basic elements in integrated circuits, are interconnected with circuitry and etched on a microchip. Before etching, the module sometimes is called a wafer.

LITHOGRAPHY:

A process of creating patterns on semiconductor crystals to be used as integrated circuits for the computer industry.

MASK:

A transparent sheet containing a pattern of opaque material to be etched out with one of several lithography processes.

NANOMETER:

One billionth of a meter. 50 nanometers is approximately 2,000 times narrower than the width of a human hair. Abbreviated nm.

OPTICAL LITHOGRAPHY:

The method of lithography used at the end of the twenty-first century, to be replaced by a form of next-generation lithography (NGL). Optical lithography uses ultraviolet light and operates at a relatively wide wavelength of 157 nm.

PLASMA:

An assembly of ions, atoms, or molecules in which the motion of the particles is dominated by electromagnetic interaction, but the plasma is electrically neutral. Plasma sometimes is described as the fourth state of matter.

PHOTORESIST:

The photosensitive thin film applied to the surface of a wafer for lithography.

RESIST:

A coating that protects against physical, electrical, or chemical action.

About this article

As the "next-generation" lithography (NGL) system, is extreme ultraviolet lithography (EUVL) more promising than electron beam projection lithography (EPL)

Updated About encyclopedia.com content Print Article